VISIT OUR NEW YOUTUBE CHANNEL

Visit our new YouTube channel exclusively for Matlab Projects and Electrical Project @,YouTube-Matlab Projects YouTube-Electrical Projects

VLSI IEEE 2018 Projects at Chennai

Looking for VLSI 2018 Projects,Click Here or Contact @ +91 9894220795/+9144 42647783.For more details visit www.verilogcourseteam.com

Tuesday

THE CSI MULTIMEDIA ARCHITECTURE

MULTIMEDIA applications, such as audio and video compression/decompression and two-dimensional (2-D) and three-dimensional (3-D) graphics, provide new and highly valuable and appealing services to the consumer. Consequently, they form a new important workload for the general-purpose workstation and desktop processors. In order to meet the computational requirements of these applications, traditionally they have been implemented using general-purpose processors applying DSPs and/or ASICs to accelerate time-critical computations. 

General-purpose processors, however, are preferable to special-purpose media systems because they are easier to program, have higher performance growth, and are less costly. Many microprocessor vendors have, therefore, extended their instruction set architecture (ISA) with instructions targeted at multimedia applications. These ISA extensions exploit two characteristics exhibited by multimedia applications. First, multimedia codes typically process narrow data types (for example, 8-b pixels or 16-b audio samples). Second, data-level parallelism (DLP) is inherent in almost all multimedia applications. Accordingly, level, i.e., they operate concurrently on, e.g., eight 8-b or four 16-b values packed in a 64-b register. It has been shown that these extensions can improve the performance of many multimedia kernels and applications.

An instruction set extension designed to accelerate multimedia applications is presented and evaluated. In the proposed complex streamed instruction (CSI) set, a single instruction can process vector data streams of arbitrary length and stride and combines complex memory accesses (with implicit prefetching), program control for vector sectioning, and complex computations on multiple data in a single operation. In this way, CSI eliminates overhead instructions (such as instructions for data sectioning, alignment, reorganization, and packing/unpacking) often needed in applications utilizing MMX-like extensions and accelerates key multimedia kernels. Simulation results demonstrate that a superscalar processor extended with CSI outperforms the same processor enhanced with Sun’s VIS extension by a factor of up to 7.77 on key multimedia kernels and by up to 35% on full applications


No comments: